当前位置:首页 > Candence数字仿真参数大全
Candence数字仿真参数大全(Ncverilog,irun)
=======================Ncverilog=====================================
ncverilog \\ +access+wrc \\
+nctimescale+1ns/100ps \\ +libext+.v \\ nospecify \\ +incdir+$PATH \\ +define+$urmicro \\ +notimingcheck \\ -l $urLogFile \\
+nclibdirname+$urWorkDir \\ -f $urFileList \\
loadpli1=$urPliPath/libpli.so
=======================Irun========================================= irun \\
-64bit –l $LogFile –f $FileList +abcd+efgk=”efgk” \\
+notimingcheck +delay_mode_distributed –access +RWC –timescale 1ns/10ps –override_timescale \\
-covfile $CovFile –covdesign coverage –covtest $CovDataBaseName –covworkdir $CovPath \\
-sysc –gcc_vers $GccVersion –scautoshellverilog
=================================================================== 调试模式增加 -gui –linedebug
$CovFile
set_branch_scoring
select_coverage –all $Design_top? set_com<屏蔽常数项>
deselect_coverage –all module $ModuleName?<屏蔽Module>
deselect_coverage –all instance $InstanceName?<屏蔽instance>
set_toggle_excludefile –bitexclude $CovExcludefile<按比特位屏蔽信号,支持通配符>
$CovExcludefile
module $ModuleName.$signal instance $InstanceName.$signal
==================================================================
我们知道,由于NC-Verilog使用了Native Compile Code 的技术来加强电路模拟的效率,因此在进行模拟时必须经过compile(ncvlog 命令)以及elaborate(ncelab命令)的步骤。编译之后,针对每一个HDL设计单元会产生中间表达。接着elaborate命令会建立整个电 路的结构,产生可以用来模拟的资料。最后使用ncsim命令来进行模拟。
1、三命令模式(wolf评论:分步执行,了解即可,没有必要尝试!) 命令如下:
ncvlog -f run.f
ncealbtb -access wrc ncsimtb -gui
第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误。
第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。
第三个命令中,gui选项是加上图形界面
值得注意的是,在这种模式下仿真,是用“ - ”的。而下边要说的ncverilog是采用“ + ”的。 2、单命令模式
ncverilog +access+wrcrtl +gui
在这里,各参数与三命令模式相同。注意“ + ”。
在本文里将详细讲述ncverilog 的各种常用的参数,对于三命令模式,请读者自己查看资料。
+cdslib+... 设定你所仿真的库所在 +define+macro ... 预编译宏的设定
+errormax+整数 当错误大于设定时退出仿真 +incdir+path 设定include的路径
+linedebug 允许在代码中设定line
breakpoint(wolf评论:可以与+gui结合,利用simvision trace代码!) +log+logfile 输出到名为logfile的文件中 +status 显示内存和CPU的使用情况 +work 工作库
+access+w/r/c 读取对象的权限,缺省为无读(-w)无写(-r)无连接(-c)
+gui 显示图形交互界面
+input script_file 输入脚本文件(wolf评论:可以通过此option输入tcl脚本)
+licqueque 如无licence等待licence(wolf评论:遇到licence紧张的情况,可以尝试一下!)
+run 如果在GUI交互界面下, 启动后将自动开始仿真
+loadpli1=... 动态加入PLI
+timescale 设定仿真单位和精度(wolf评论:与nctimescale有何区别?)
+nocopyright 不显示版权信息
+nospecify Suppresses timing checks and path delays in specify blocks.
Ignore SDF annotations.
+notimingcheck Don't execute timing checks
在Cadence Verilog仿真器中使用Verilog库: 使用库文件
在命令行中使用选项:-v file_name 使用库目录
在命令行中使用选项 –y directory_name
在命令行中使用选项 +libext+file_extension(wolf评论:譬如 +libext+.v)
在使用库目录时,如果每个文件都有一个扩展名,则在Cadence Verilog仿真器必须用+libext选项指定其扩展名。仿真器中没有缺省地使用.v作扩展名
使 用-v或-y选项指定库时,只编译那些设计中用到的模块。如果在命令行中直接输入库文件名而没有使用-v选项 (或在文件中使用编译指导`include),则库中所有模块都被编译。使用选项大大压缩编译时间及内存空间。在NC Verilog中也压缩了使用的磁盘空间。
3、命令大全
1.ncvlog [options] source_file ... Options:
-DEFINE
-MESSAGES -- Specifies printing of informative messages
-NOCOPYRIGHT -- Suppresses printing of copyright banner
-NOSTDOUT -- Turn off output to screen
-NOWARN
-- To compile all the modules in source.v % ncvlogsource.v
-- To compile with informative messages % ncvlog -messages source.v
2. ncelab
ncelab [options] [lib.]cell[:view] Options:
-MESSAGES -- Specifies printing of informative messages
-NOCOPYRIGHT -- Suppresses printing of copyright banner
-NOSTDOUT -- Turn off output to screen
-TIMESCALE
-ACCESS
-LOADPLI1
Specify the library_name:boot_routine(s) to dynamically load a PLI1.0 application
-MAXDELAYS -- Selects maximum delays for simulation
-MINDELAYS -- Selects minimum delays for simulation
-TYPDELAYS -- Selects typical delays for simulation
-NONEG_TCHK -- Disallow negative values in SETUPHOLD & RECREM timing checks
-NONOTIFIER -- Notifiers are ignored in timing checks.
-NOSPECIFY -- Don't execute timing checks, ignore path delays and skip SDF annotations. -NOTIMINGCHECKS -- Don't execute timing checks
-NOWARN
-NO_TCHK_MSG -- Turn off timing check warnings
-SDF_NO_WARNINGS -- Do not report SDF warnings Examples:
-- To elaborate my_lib.top:behav % ncelabmy_lib.top:behav % ncelabmy_lib.top % ncelab top
共分享92篇相关文档