当前位置:首页 > 通用寄存器组实验报告
signal reg00, reg01, reg02, reg03
:std_logic_vector(15 downto 0); signal sel00 ,sel01 ,sel02 ,sel03 : std_logic; begin
Areg00: reg port map(reset,d_input ,clk ,DRWr ,sel00 ,reg00); Areg01: reg port map(reset,d_input ,clk ,DRWr ,sel01 , reg01); Areg02: reg port map(reset,d_input ,clk ,DRWr ,sel02 ,reg02); Areg03: reg port map(reset,d_input ,clk ,DRWr ,sel03 ,reg03); decoder: to2_4 port map(DR,sel00 , sel01 , sel02 ,sel03 );
mux1: to4_1 PORT MAP( reg00 , reg01 ,reg02 ,reg03 ,DR ,DR_data); mux2: to4_1 PORT MAP( reg00 ,reg01 , reg02 , reg03 , SR ,SR_data); end rtl;
五、实验步骤
(1)将实验台设置成FPGA-CPU独立调试模式,REGSEL=0、CLKSEL=1、FDSEL=0。使用试验台上的单脉冲,即DTEP_CLK短路子短接,短路子RUN_CLK断开。 (2)将涉及在QuartusII下输入,编译后下载到TEC_CA上的FPGA中。 (3)将下列数据存入寄存器: R0 0x3333 R1 0x5555 R2 0xAAAA R3 oxFFFF
(4)在试验台指示灯A15~A0和R15~R0上观察各寄存器的值,并填入下表。 步骤 A15~A0 R0 (1)reset (2)R0写入ox3333 (3)R1写入ox5555 (4)R2写入oxAAAA (5)R1写入oxFFFF 0000 3333 3333 3333 3333 R1 0000 0000 5555 5555 R2 0000 0000 0000 AAAA R3 0000 0000 0000 0000 0000 R15~R0 R0 0000 3333 3333 3333 3333 R1 0000 0000 5555 5555 FFFF R2 0000 0000 0000 AAAA AAAA R3 0000 0000 0000 0000 0000 FFFF AAAA 六、实验收获 通过这次实验了解了通用寄存器组对于CPU的重要性,了解了通用寄存器的使用方法。熟悉了VHDL语言描述通用寄存器的方法。
5
共分享92篇相关文档