当前位置:首页 > EDA课程设计报告——停车场停车位显示系统(基于QUARTUS II软件)
沈阳理工大学EDA技术课程设计报告
qout6<=temp(69 downto 60); qout7<=temp(79 downto 70); qout8<=temp(89 downto 80); qout9<=temp(99 downto 90); end if; end process; end;
8
沈阳理工大学EDA技术课程设计报告
显示模块设计程序如下: DISPLAY.VHD library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity display is port( clk:in std_logic;
din0,din1,din2,din3,din4,
din5,din6,din7,din8,din9:in std_logic_vector(9 downto 0); hang:out std_logic_vector(9 downto 0); lie:out std_logic_vector(9 downto 0) );end;
architecture behave of display is
signal h_count,l_count:std_logic_vector(3 downto 0); signal co:std_logic; begin
process(clk,din0,din1,din2,din3,din4,din5,din6,din7,din8,din9) begin
if(clk'event and clk='1') then if(l_count<9) then
l_count<=l_count+1; co<='0'; else
l_count<=\ end if; end if; case l_count is
when \ when \ when \ when \ when \
9
沈阳理工大学EDA技术课程设计报告
when \ when \ when \ when \ when \ when others=>null; end case; end process; process(co) begin
if co'event and co='1' then if(h_count<9) then h_count<=h_count+1; else h_count<=\ end if; end if; case h_count is
when \ when \ when \ when \ when \ when \ when \ when \ when \ when \ when others=>null; end case; end process; end;
10
沈阳理工大学EDA技术课程设计报告
7.结束语
本课题所涉及的领域不论是集成运放本身,还是FPGA芯片设计都是极具挑战性的。由于VHDL语言的欠缺,在课题开始之初遇到了较大的困难。通过研读专业书籍,翻阅有关文献资料,对这一设计课题有了进一步认识。在理论学习的同时,通过仔细分析参考设计,并结合课题要求,对设计整体思路有了较清晰的构建。借助一系列EDA软件,使用VHDL语言软件编程。
FPGA是具有强大功能的一种芯片。FPGA(现场可编程门阵列)是专用集成电路(ASIC)中集成度最高的一种,用户可对FPGA内部的逻辑模块和I/O模块重新配置,以实现用户的逻辑,因而也被用于对CPU的模拟。用户对FPGA的编程数据放在Flash芯片中,通过上电加载到FPGA中,对其进行初始化。也可在线对其编程,实现系统在线重构,这一特性可以构建一个根据计算任务不同而实时定制的CPU,这是当今研究的热门领域。
本设计主要完成了以下工作:(1) 介绍了FPGA的原理及其应用。(2) 介绍了VHDL语言及其应用。(3) 实现传感器对被测物的位置检测。(4) 用硬件描述语言完成了点阵显示电路的设计。
知识的膨胀和更新,给我们带来了巨大的压力,如何更好的学习和掌握所学的知识以及把知识运用在实际的应用中是我们需要解决的一个重要问题。这次毕业设计就给了我们一个理论和实际相结合的应用机会。实际运用对于大学生特别是工科大学生的实际工作能力的培养起到至关重要的作用。实际运用的实践有利于我们对知识的更深理解掌握和创新能力的培养。
这次毕业设计不仅锻炼了我们针对实际问题进行电子设计制作的能力,而且对于我们专业基础课程的学习起到了积极的促进作用。
在毕业设计的过程中我们学习和熟悉了当今先进电子器件的应用、各类电路的设计方法和最新的电路设计手段如计算机辅助设计及计算机电路仿真,开阔了我们的视野,强化了我们的工程概念。
11
共分享92篇相关文档